Zgłoś błąd
X
EnglishDeutschукраїнськийFrançaisEspañol中国

chipy

USA chcą kolejnych sankcji dla chińskiej branży półprzewodników. Są one nieoficjalnie określane mianem drakońskich

USA chcą kolejnych sankcji dla chińskiej branży półprzewodników. Są one nieoficjalnie określane mianem drakońskich

Wojna handlowa pomiędzy Stanami Zjednoczonymi i Chinami szybko się nie skończy. Wręcz przeciwnie, są przesłanki wskazujące na to, że będzie się ona stopniowo zaostrzać. USA planują nałożenie kolejnych sankcji na branżę półprzewodników w Państwie Środka. Nowe restrykcje są określane nawet przez niektórych sojuszników Stanów Zjednoczonych mianem "drakońskich". Nie ma zatem wątpliwości, że będą znacząco oddziaływały na producentów chipów.

AMD idzie w ślady Intela i może wykorzystać w przyszłości szklane substraty w produkcji układów z serii EPYC i Instinct

AMD idzie w ślady Intela i może wykorzystać w przyszłości szklane substraty w produkcji układów z serii EPYC i Instinct

Obecnie wiele firm technologicznych dostrzega duże ograniczenia wysłużonych substratów wykonanych z materiałów organicznych i tworzyw sztucznych. Dynamiczny rozwój sztucznej inteligencji przyspieszył potrzebę przejścia na szklane odpowiedniki, które oferują szereg zalet, zwłaszcza w kontekście układów wykorzystujących wiele chipletów, takich jak wysokowydajne procesory serwerowe AMD EPYC i akceleratory graficzne AMD Instinct.

AMD wyciąga wnioski i zmienia model planowania układów scalonych. Oprogramowanie ma stać na pierwszym miejscu

AMD wyciąga wnioski i zmienia model planowania układów scalonych. Oprogramowanie ma stać na pierwszym miejscu

Przedsiębiorstwo AMD od lat skupiało się głównie na udoskonalaniu swoich architektur GPU i CPU, projektowaniu chipów i wydawaniu pełnych produktów. Dopiero na samym końcu znajdował się dział odpowiedzialny za oprogramowanie oraz współpracę z innymi firmami software'owymi. W związku z tym wielokrotnie przyszło nam długo czekać na takie technologie jak FSR 3.0, Anti-Lag+ czy AFMF. Jednak teraz wszystko ma się zmienić.

Były dyrektor ASML prognozuje, że konflikt USA z Chinami w branży półprzewodników może potrwać jeszcze bardzo długo

Były dyrektor ASML prognozuje, że konflikt USA z Chinami w branży półprzewodników może potrwać jeszcze bardzo długo

Ostatnie kilkadziesiąt miesięcy w branży półprzewodników upłynęło pod znakiem napięć pomiędzy Stanami Zjednoczonymi a Chinami. Na Państwo Środka nałożono nowe sankcje, które ograniczają dostęp tamtejszych podmiotów do zaawansowanych narzędzi służących do produkcji chipów oraz najszybszych akceleratorów graficznych do obsługi AI. Były szef holenderskiego ASML ostrzega, że konflikt pomiędzy mocarstwami potrwa jeszcze długo.

Huawei ma problemy z procesorami Ascend 910B. Uzysk z produkcji w chińskim SMIC jest ponoć bardzo niski

Huawei ma problemy z procesorami Ascend 910B. Uzysk z produkcji w chińskim SMIC jest ponoć bardzo niski

Chiny starają się szybko nadrobić dystans, który dzieli ich branżę półprzewodników od zachodnich rozwiązań technologicznych. Proces nie jest łatwy i trudno ocenić, kiedy i czy w ogóle te działania zakończą się sukcesem. Trudności widać dobrze na przykładzie firmy Huawei, która opracowała własne chipy do obsługi sztucznej inteligencji – Ascend 910B. Ich produkcją zajmuje się od pewnego czasu chiński SMIC i wiele wskazuje na to, że nie przebiega ona gładko.

Huawei inwestuje w centrum, które zajmie się opracowaniem zaawansowanych narzędzi do produkcji chipów

Huawei inwestuje w centrum, które zajmie się opracowaniem zaawansowanych narzędzi do produkcji chipów

Znaczącą barierą w rozwoju chińskiej branży półprzewodników są restrykcje, które uniemożliwiają pozyskanie zaawansowanego sprzętu do produkcji chipów. Jest to w sposób szczególny widoczne w przypadku narzędzi EUV, do których Państwo Środka nie ma na razie w ogóle dostępu. Zaradzić tej sytuacji mają między innymi inwestycje firmy Huawei. Podmiot buduje centrum badawczo-rozwojowe, które będzie pracowało nad chińskimi alternatywami dla EUV.

Samsung opóźnia start fabryki w Teksasie. Rozważane są znaczące ulepszenia w procesie technologicznym

Samsung opóźnia start fabryki w Teksasie. Rozważane są znaczące ulepszenia w procesie technologicznym

Coraz więcej fabryk powiązanych z branżą półprzewodników powstaje poza regionem Dalekiego Wschodu. Jedną z firm, które prowadzą takie inwestycje jest Samsung. Nowy ośrodek produkcyjny powstaje między innymi w USA. Jego start planowany był na 2024 rok, ale zostanie on najprawdopodobniej opóźniony. Koreańska firma rozważa dokonanie znaczących ulepszeń w procesie technologicznym fabryki. Może to być jeden z najbardziej zaawansowanych ośrodków na świecie.

TSMC planuje podnieść ceny na swoje usługi. Najbardziej podrożeją zaawansowane metody pakowania chipów

TSMC planuje podnieść ceny na swoje usługi. Najbardziej podrożeją zaawansowane metody pakowania chipów

Postęp technologiczny bardzo często wiąże się z koniecznością ponoszenia coraz wyższych kosztów. Składają się na nie niezbędne ulepszenia w zakresie procesów technologicznych, ale także wydatki badawczo-rozwojowe. W tym kontekście nie jest zaskoczeniem, że TSMC planuje podnieść ceny na swoje usługi. Podwyżki najmocniej odczują podmioty, które korzystają z najbardziej zaawansowanych metod pakowania chipów tajwańskiej firmy.

USA mogą wkrótce nałożyć kolejne restrykcje na Chiny. Tym razem będą one dotyczyły tranzystorów GAA i pamięci HBM

USA mogą wkrótce nałożyć kolejne restrykcje na Chiny. Tym razem będą one dotyczyły tranzystorów GAA i pamięci HBM

Amerykańskie sankcje ograniczyły znacząco dostęp Chin do najbardziej zaawansowanych technologii w branży półprzewodników. W sposób szczególny dotyczy to sprzętu wykorzystywanego do treningu i obsługi sztucznej inteligencji. Najwyraźniej jednak administracja USA nie jest w pełni zadowolona z rezultatów. Już wkrótce sankcje mogą zostać rozszerzone. Tym razem ograniczeniom mają podlegać tranzystory GAA, pamięć HBM i powiązane z nimi technologie.

TSMC może w sytuacji zagrożenia zdalnie dezaktywować swoje maszyny służące do produkcji chipów

TSMC może w sytuacji zagrożenia zdalnie dezaktywować swoje maszyny służące do produkcji chipów

Choć Chiny w oficjalnych komunikatach zapewniają, że nie chcą siłą przejmować Tajwanu, to wielu amerykańskich ekspertów ma co do tego poważne wątpliwości. Ten wyspiarski obszar jest największym producentem chipów na świecie, zatem ma strategiczne znaczenie zarówno dla Stanów Zjednoczonych, jak i Chin. TSMC jest przygotowane na potencjalną agresję ze strony Państwa Środka, choć wdrożenie tych planów miałoby katastrofalne skutki dla gospodarki światowej.

Loongson pracuje nad szeroką ofertą procesorów. W planach znajdują się też jednostki serwerowe o budowie chipletowej

Loongson pracuje nad szeroką ofertą procesorów. W planach znajdują się też jednostki serwerowe o budowie chipletowej

Amerykańskie sankcje skłoniły chiński rząd i tamtejsze przedsiębiorstwa do poszukiwania alternatyw dla zachodnich procesorów. Jedną z największych firm z Państwa Środka, które zajmują się wytwarzaniem tego typu sprzętu jest obecnie Loongson. Rozwiązania, które firma proponuje nie mogą się oczywiście równać pod względem wydajności z najnowszymi jednostkami Intela czy AMD, ale plany na przyszłość są ambitne. Poznaliśmy właśnie część z nich.

Apple chce zarezerwować u TSMC pierwszą partię wafli 2 nm, które posłużą do produkcji procesorów kolejnych generacji

Apple chce zarezerwować u TSMC pierwszą partię wafli 2 nm, które posłużą do produkcji procesorów kolejnych generacji

Apple od dawna współpracuje z TSMC przy produkcji procesorów do swoich urządzeń. Jednostki A17 Pro, które wykorzystywane są w wyżej pozycjonowanych modelach iPhone’a 15, zostały wykonane w procesie technologicznym 3 nm (N3B). Na procesie 2 nm będą z kolei bazować chipy obecne w sprzęcie, który trafi na rynek w 2025 lub 2026 roku. W celu zabezpieczenia dostaw wafli krzemowych do produkcji tych jednostek, na Tajwan udał się dyrektor operacyjny Apple.

Stany Zjednoczone radykalnie zwiększą wkrótce cła na produkty chińskiej branży półprzewodników

Stany Zjednoczone radykalnie zwiększą wkrótce cła na produkty chińskiej branży półprzewodników

Stosunki pomiędzy USA i Chinami pozostają bardzo napięte. Głównym problemem jest uzależnienie państw zachodnich od produkcji towarów w Państwie Środka i aspiracje tego kraju w kwestii objęcia kontroli nad Tajwanem. Relacje prawdopodobnie ulegną dalszemu pogorszeniu, ponieważ administracja amerykańska zapowiedziała ostatnio radykalne podwyższenie ceł na chińską elektronikę, co ma między innymi wspomóc rodzimą produkcję chipów.

Arm chce wejść na rynek sztucznej inteligencji. Trwają prace nad prototypami nowych chipów

Arm chce wejść na rynek sztucznej inteligencji. Trwają prace nad prototypami nowych chipów

Przygotowanie własnych rozwiązań sprzętowych do obsługi sztucznej inteligencji jest kosztowne i czasochłonne. Takie przedsięwzięcia okażą się jednak prawdopodobnie docelowo opłacalne. Do firm technologicznych, które pracują nad swoimi chipami do obsługi AI, dołączył właśnie Arm. Podmiot przygotowuje procesory tego typu do wewnętrznego użytku spółki SoftBank, która jest głównym właścicielem wywodzącej się z Wielkiej Brytanii firmy.

Stany Zjednoczone mogą do 2032 roku stać się potęgą w produkcji najbardziej zaawansowanych chipów

Stany Zjednoczone mogą do 2032 roku stać się potęgą w produkcji najbardziej zaawansowanych chipów

Produkcja chipów to ciągle domena krajów Dalekiego Wschodu. Widać jednak trendy, które doprowadzą w przyszłości do dużych zmian na tym rynku. Stany Zjednoczone będą prawdopodobnie największym beneficjentem tych przekształceń. Opublikowano raport, który przedstawia prognozowane zmiany udziałów poszczególnych regionów w produkcji chipów do 2032 roku. Rola Tajwanu w wytwarzaniu najbardziej zaawansowanych jednostek zmniejszy się.

NVIDIA była w 2023 roku największą firmą zajmującą się projektowaniem układów scalonych

NVIDIA była w 2023 roku największą firmą zajmującą się projektowaniem układów scalonych

NVIDIA niewątpliwie zyskała bardzo dużo na popularności rozwiązań napędzających sztuczną inteligencję. Segment centrów danych jest teraz głównym źródłem przychodów amerykańskiej firmy. Ciekawostką jest fakt, że spółka pomimo swojego rozmiaru nie posiada ciągle własnych fabryk, które zajmowałyby się produkcją chipów. Czyni ją to obecnie największym podmiotem tego typu. Dotychczasowy lider - Qualcomm - musi zadowolić się drugim miejscem.

Cały potencjał TSMC w zakresie pakowania CoWoS i SoIC został zarezerwowany do końca 2025 roku

Cały potencjał TSMC w zakresie pakowania CoWoS i SoIC został zarezerwowany do końca 2025 roku

Pakowanie CoWoS jest nieodłącznym elementem produkcji chipów, które wykorzystywane są do obsługi i treningu sztucznej inteligencji. Jest to też obecnie jedno z głównych ograniczeń stojących na drodze do znaczącego zwiększenia podaży akceleratorów AI. Choć pakowanie CoWoS nie jest wyłączną domeną TSMC, to najwięksi producenci układów graficznych sięgają zwykle po rozwiązanie tajwańskiej firmy. Rezerwacje obejmują aktualnie niemal dwa lata w przyszłość.

Chiński rząd zacznie oferować dofinansowania dla firm, które zdecydują się sięgnąć po karty graficzne tamtejszych producentów

Chiński rząd zacznie oferować dofinansowania dla firm, które zdecydują się sięgnąć po karty graficzne tamtejszych producentów

Cele strategiczne Chin zakładają docelowo jak największe uniezależnienie się tego kraju od zachodnich technologii. Kwestia ta oraz amerykańskie sankcje, skłaniają władze Państwa Środka do szeroko zakrojonych inwestycji w branżę produkcji chipów. Chiny posiadają już własne procesory oraz karty graficzne, choć oczywiście rozwiązania te nie mogą równać się z zachodnimi. Do rozwoju branży mają przyczynić się także specjalne dofinansowania rządowe dla firm.

TSMC zaprezentowało proces technologiczny A16. Produkcja masowa bazujących na nim chipów przewidziana jest na 2026 rok

TSMC zaprezentowało proces technologiczny A16. Produkcja masowa bazujących na nim chipów przewidziana jest na 2026 rok

Branża półprzewodników to jeden z najszybciej rozwijających się segmentów rynku nowych technologii. Duże firmy prowadzą nieustanne prace nad dostarczeniem bardziej zaawansowanych procesów technologicznych. Liderem pozostaje jednak od dłuższego czasu TSMC. Tajwańska firma zaprezentowała właśnie nowy proces A16, na którym bazowały będą niektóre chipy produkowane w 2026 roku. Jego dużą zaletą będzie efektywność energetyczna.

TSMC boryka się z odpływem personelu w Arizonie. Przyczyną nadużycia w kwestii praw pracowniczych

TSMC boryka się z odpływem personelu w Arizonie. Przyczyną nadużycia w kwestii praw pracowniczych

TSMC pracuje obecnie nad uruchomieniem kilku ośrodków produkcyjnych poza Tajwanem. W Arizonie mają docelowo powstać dwie fabryki. Konstrukcja jednej z nich znajduje się już w końcowym etapie. Niestety współpraca nie idzie tak gładko, jak chciałaby tego tajwańska firma. Jednym z problemów jest niezbyt dobre traktowanie pracowników, co sprawia ośrodek produkcyjny w Arizonie boryka się nieustannie z odejściami personelu.

Micron otrzyma pokaźne dofinansowanie do budowy fabryki pamięci DRAM. W planach jest jeszcze większa inwestycja

Micron otrzyma pokaźne dofinansowanie do budowy fabryki pamięci DRAM. W planach jest jeszcze większa inwestycja

Amerykański CHIPS and Science Act to bezprecedensowe rozwiązanie w amerykańskiej branży półprzewodników, które ma docelowo doprowadzić Stany Zjednoczone do światowej czołówki w produkcji chipów. Z odpowiednich dofinansowań korzystają już między innymi TSMC, Intel czy Samsung. Źródła donoszą, że na pokaźną dotację może liczyć także Micron. Firma ma imponujące plany inwestycyjne, które sięgają co najmniej 20 lat w przyszłość.

TSMC zapowiada, że chipy produkowane poza Tajwanem będą droższe. Część kosztów zostanie przerzucona na klientów

TSMC zapowiada, że chipy produkowane poza Tajwanem będą droższe. Część kosztów zostanie przerzucona na klientów

Duże firmy technologiczne podejmują od pewnego czasu starania, które mają doprowadzić do częściowego uniezależnienia produkcji chipów od regionu Dalekiego Wschodu. Jedną z nich jest TSMC, które buduje nowe fabryki w Stanach Zjednoczonych oraz Niemczech. Kwestia przeniesienia produkcji jest jednak skomplikowana i z całą pewnością odbije się na cenach chipów. Tajwańska firma oczekuje, że jej klienci będą partycypowali w zwiększonych kosztach.

TSMC wznawia produkcję chipów na Tajwanie zaledwie kilkanaście godzin po potężnym trzęsieniu ziemi

TSMC wznawia produkcję chipów na Tajwanie zaledwie kilkanaście godzin po potężnym trzęsieniu ziemi

Kilkadziesiąt godzin temu Tajwan nawiedziło jedno z największych trzęsień ziemi we współczesnej historii wyspy. Tamtejsze budownictwo jest jednak dobrze przygotowane na takie okoliczności, choć zniszczeń nie udało się oczywiście zupełnie uniknąć. Jak pewnie wiecie, Tajwan od lat pozostaje największym wytwórcą chipów na świecie, dlatego od razu powstały obawy o długie przerwy w produkcji chipów. Ostatnie wydarzenia nie doprowadzą na szczęście do znaczących opóźnień.

Rosyjskie procesory Baikal obarczone są licznymi wadami produkcyjnymi. Problemy nawet na etapie pakowania

Rosyjskie procesory Baikal obarczone są licznymi wadami produkcyjnymi. Problemy nawet na etapie pakowania

Zachodnie sankcje ograniczyły dostępność sprzętu komputerowego na rynku rosyjskim. Władze tego kraju usilnie poszukują alternatyw, promując między innymi wykorzystanie rodzimych procesorów Baikal. Nie jest wielką tajemnicą, że pod względem wydajności nie mogą się one równać z zachodnimi odpowiednikami. Tym co zwraca uwagę, jest jednak niezwykle wysoki współczynnik egzemplarzy obarczonych rozmaitymi defektami produkcyjnymi.

TSMC odnotowuje duże zainteresowanie procesem 3 nm. Jego znaczenie w kolejnych latach będzie rosło

TSMC odnotowuje duże zainteresowanie procesem 3 nm. Jego znaczenie w kolejnych latach będzie rosło

W 2022 roku duże firmy z branży półprzewodników rozpoczęły produkcję w procesie technologicznym 3 nm. Wśród nich jest także tajwańskie przedsiębiorstwo TSMC. Choć trwają już obecnie intensywne przygotowania do produkcji chipów 2 nm, to prognozuje się, że proces aktualnej generacji będzie nieustannie zyskiwał na popularności, generując coraz większe przychody. Duża w tym zasługa największych klientów TSMC, czyli takich firm, jak Apple, Intel czy AMD.

Chiny wprowadzają zakaz wykorzystywania procesorów Intela i AMD w komputerach rządowych

Chiny wprowadzają zakaz wykorzystywania procesorów Intela i AMD w komputerach rządowych

Relacje pomiędzy Stanami Zjednoczonymi a Chinami nieustannie pozostają napięte i wątpliwe jest, żeby stan ten zmienił się w nieodległej przyszłości. Ich poprawie nie będzie sprzyjało też ostatnie zarządzenie ze strony władz Państwa Środka. Wprowadzono bowiem zakaz wykorzystywania procesorów Intela i AMD w rządowych komputerach. Promowane mają za to być chińskie alternatywy, co z pewnością znacząco wzmocni tamtejszych producentów chipów.

TSMC planuje budowę kilku nowych placówek produkcyjnych na Tajwanie. Związane z nimi inwestycje mają osiągnąć pokaźną kwotę

TSMC planuje budowę kilku nowych placówek produkcyjnych na Tajwanie. Związane z nimi inwestycje mają osiągnąć pokaźną kwotę

TSMC jest jedną z najdynamiczniej rozwijających się firm w branży półprzewodników. Producent chipów nie oszczędza na inwestycjach, dzięki czemu otrzymujemy coraz wydajniejsze chipy, opracowane w mniejszych procesach technologicznych. Spółka planuje budowę kolejnych placówek produkcyjnych na swoim rodzimym Tajwanie. Zajmą się one przede wszystkim pakowaniem CoWoS, które jest kluczowe dla chipów wykorzystywanych w sektorze AI.

TSMC i Micron przygotowują się na duże podwyżki cen prądu na Tajwanie. Będzie to miało wpływ na ceny produktów końcowych

TSMC i Micron przygotowują się na duże podwyżki cen prądu na Tajwanie. Będzie to miało wpływ na ceny produktów końcowych

Problem cen energii pozostaje istotnym problemem w naszej strefie geograficznej. Dotyczy jednak także Dalekiego Wschodu, gdzie wciąż produkuje się najwięcej chipów. Wszelkiego rodzaju produkcja masowa wiąże się z odpowiednio wysokim zużyciem prądu. Tak jest też w tym przypadku. Duże zakłady produkcyjne na Tajwanie przygotowują się na znaczące podwyżki cen energii elektrycznej. Z pewnością nie uda się docelowo uniknąć przerzucenia ich części na konsumentów.

Samsung zmienia nazwę dla swojego procesu technologicznego 3 nm. Sugeruje tym samym, że jest to proces 2 nm

Samsung zmienia nazwę dla swojego procesu technologicznego 3 nm. Sugeruje tym samym, że jest to proces 2 nm

Pomiędzy firmami technologicznymi toczy się wyścig, który ma na celu dostarczenie przed konkurencją jak najbardziej wyrafinowanych chipów. Niektórzy za sprawą zmiany nazwy procesów technologicznych decydują się nieco naginać rzeczywistość, sugerując tym samym, że dany układ jest bardziej zaawansowany i konkurencyjny. Swojego czasu głośno było zmianie nazewnictwa przez Intel. Najnowsze doniesienia sugerują, że na podobny pomysł wpadł teraz Samsung.

Samsung i Arm łączą siły przy pracach nad chipami wykonanymi w procesie technologicznym 2 nm

Samsung i Arm łączą siły przy pracach nad chipami wykonanymi w procesie technologicznym 2 nm

Na świecie trwają przygotowania do produkcji chipów opartych na procesie technologicznym 2 nm. Samsung będzie w niedalekiej przyszłości jednym z ich głównych producentów. Koreańskie przedsiębiorstwo ogłosiło właśnie nawiązanie współpracy z brytyjskim Arm, która ma zaowocować optymalizacją projektu rdzeni Cortex-X i Cortex-A kolejnej generacji. Chipy wykorzystujące te rozwiązania będą bazowały na tranzystorach GAA (Gate-All-Around).

Holandia może rozszerzyć sankcje nałożone na Chiny. Sprzęt firmy ASML jest prawdopodobnie wykorzystywany przez chińskie wojsko

Holandia może rozszerzyć sankcje nałożone na Chiny. Sprzęt firmy ASML jest prawdopodobnie wykorzystywany przez chińskie wojsko

Holandia jest jednym z krajów, które jakiś czas temu nałożyły, obok Stanów Zjednoczonych, sankcje na chiński sektor półprzewodników. Mocno skomplikowało to produkcję chipów w Państwie Środka, ponieważ problematyczne stało się pozyskiwanie wykorzystywanego w branży zaawansowanego sprzętu. Oczywiście Chiny nie zostały całkowicie odcięte od zachodnich technologii, ale wiele wskazuje na to, że sankcje mogą zostać w niedalekiej przyszłości rozszerzone.

Przedstawiciel Tajwanu wprost oskarża Chiny o niewłaściwe praktyki. Ma dochodzić do kradzieży i kopiowania technologii

Przedstawiciel Tajwanu wprost oskarża Chiny o niewłaściwe praktyki. Ma dochodzić do kradzieży i kopiowania technologii

Sytuacja wokół Chin i Tajwanu jest nieustannie napięta. Toczy się też pewnego rodzaju wyścig technologiczny, w trakcie którego Państwo Środka stara się nadrobić przepaść, jaka dzieli oba podmioty w kwestii produkcji chipów. Wiele wskazuje na to, że postęp w chińskiej branży półprzewodników dokonuje się także w sposób niezbyt uczciwy. Głos w tej sprawie zajął nieformalny ambasador Tajwanu w Stanach Zjednoczonych i doczekał się reakcji ambasady Chin.

SMIC przygotowuje się do produkcji chipów w procesie technologicznym 5 nm. Głównym klientem ma być Huawei

SMIC przygotowuje się do produkcji chipów w procesie technologicznym 5 nm. Głównym klientem ma być Huawei

Choć chiński potencjał w zakresie produkcji chipów znacząco ograniczają amerykańskie sankcje, to tamtejsze firmy starają się nadrobić opóźnienie względem technologicznych liderów. Osiągnięcie poziomu zaawansowania produkcji, którym charakteryzuje się choćby tajwański TSMC, nie będzie możliwe w bliskiej przyszłości. Najnowsze doniesienia wskazują jednak, że SMIC może jeszcze w tym roku rozpocząć produkcję chipów w procesie klasy 5 nm.

Meta jeszcze w tym roku ma wypuścić własny chip Artemis. Przejmie on część zadań związanych z obsługą sztucznej inteligencji

Meta jeszcze w tym roku ma wypuścić własny chip Artemis. Przejmie on część zadań związanych z obsługą sztucznej inteligencji

Choć NVIDIA odgrywa na razie wiodącą rolę w kwestii produkcji rozwiązań sprzętowych przeznaczonych do obsługi AI, to sytuacja rynkowa prawdopodobnie nieco się w przyszłości zmieni. Wiele dużych podmiotów jest w trakcie prac nad chipami, które będą mogły być wykorzystywane w tego typu zadaniach. Jednym z nich jest firma Meta. Jak podają źródła, jeszcze w tym roku światło dzienne może ujrzeć chip Artemis, który zastąpi częściowo układy NVIDII.

Stany Zjednoczone planują zainwestować w wietnamski sektor półprzewodników. Kraj może odgrywać w przyszłości istotną rolę

Stany Zjednoczone planują zainwestować w wietnamski sektor półprzewodników. Kraj może odgrywać w przyszłości istotną rolę

Amerykański "CHIPS and Science Act" otwiera drogę do szeroko zakrojonych inwestycji rządowych w amerykańską branżę półprzewodników. Z dofinansowania korzystają już takie firmy jak Intel, TSMC, Micron czy Wolfspeed. Okazuje się jednak, że w ramach programu pewne środki mogą trafić także do innych krajów. Wśród nich wymienia się między innymi Wietnam. Głównym celem jest oczywiście uniezależnienie się od produkcji chipów w Chinach.

TSMC przygotowuje się do budowy fabryki, która będzie produkowała chipy w procesie technologicznym 1 nm

TSMC przygotowuje się do budowy fabryki, która będzie produkowała chipy w procesie technologicznym 1 nm

W obecnej chwili bazą dla postępu w branży półprzewodników jest zmniejszanie procesu technologicznego. Już wkrótce najbardziej zaawansowane chipy będą wykonane w procesie 2 nm. Ich masowa produkcja powinna rozpocząć się w 2025 roku. TSMC ma jednak zaawansowane plany, które przewidują, że w stosunkowo nieodległej przyszłości powstanie ośrodek produkujący 1-nm chipy. Byłoby to osiągnięcie istotnej granicy w tej branży.

x Wydawca serwisu PurePC.pl informuje, że na swoich stronach www stosuje pliki cookies (tzw. ciasteczka). Kliknij zgadzam się, aby ta informacja nie pojawiała się więcej. Kliknij polityka cookies, aby dowiedzieć się więcej, w tym jak zarządzać plikami cookies za pośrednictwem swojej przeglądarki.