Zgłoś błąd
X
EnglishDeutschукраїнськийFrançaisEspañol中国

Nauka i technika

Vayu One - robot dostawczy, który już zastępuje kurierów. Jest w pełni autonomiczny i tańszy w produkcji od konkurencji

Vayu One - robot dostawczy, który już zastępuje kurierów. Jest w pełni autonomiczny i tańszy w produkcji od konkurencji

W dzisiejszych czasach ludzie coraz częściej kupują różne rzeczy przez internet. Mowa tu nie tylko o ubraniach i urządzeniach elektronicznych, ale również o żywności. Według najnowszych statystyk do 2027 roku aż 23% wszystkich zakupów w USA będzie dokonywanych właśnie w ten sposób. Dostawy są jednak kosztowne, gdyż trzeba także opłacić kurierów. Pewna firma postanowiła więc wymienić ich na... roboty. Używa w tym celu autorskich modeli, które są relatywnie tanie.

Elon Musk uruchomił jeden z najpotężniejszych klastrów serwerowych. Składa się z dziesiątek tysięcy układów NVIDIA H100

Elon Musk uruchomił jeden z najpotężniejszych klastrów serwerowych. Składa się z dziesiątek tysięcy układów NVIDIA H100

Boom na sztuczną inteligencję skłania coraz większą liczbę podmiotów do inwestycji w infrastrukturę serwerową. Obecny sprzęt umożliwia osiągnięcie mocy obliczeniowej, która jeszcze kilka lat temu była poza zasięgiem większości firm. Odpowiednie inwestycje poczynił też właściciel platformy X - Elon Musk. Miliarder pochwalił się właśnie uruchomieniem klastra serwerowego, który przyćmiewa swoim potencjałem nawet większość superkomputerów.

Prezes SK Group podchodzi do AI z pewną dozą ostrożności. Porównuje obecne trendy do gorączki złota

Prezes SK Group podchodzi do AI z pewną dozą ostrożności. Porównuje obecne trendy do gorączki złota

Branża nowych technologii jest w większości zachwycona potencjałem, który wykazuje sztuczna inteligencja. Wydaje się, że elementy AI zaczynają pojawiać się wszędzie, gdzie to tylko możliwe. Boom zatem trwa w najlepsze, ale nie wszyscy podchodzą do niego w równie entuzjastyczny sposób. Oprócz narzekań klientów, którzy powoli zaczynają odczuwać przesyt, bardziej sceptyczne stanowisko prezentuje także prezes SK Group, czyli spółki, do której należy firma SK hynix.

Intel prezentuje prototyp optycznego, zintegrowanego chipletu I/O dla centrów obliczeniowych i serwerów AI

Intel prezentuje prototyp optycznego, zintegrowanego chipletu I/O dla centrów obliczeniowych i serwerów AI

Intel, w swojej długoletniej działalności, nie ogranicza się jedynie do produkcji procesorów i rozwoju procesów litograficznych. Amerykańskie przedsiębiorstwo opracowuje także chipy i technologie dla przesyłu danych, obejmujące łączność WiFi i Ethernet, a także całe interkonekty i interfejsy dla centrów obliczeniowych oraz serwerów sztucznej inteligencji. Teraz firma z Santa Clara przedstawiła prototyp zintegrowanego, optycznego chipletu I/O.

Huawei inwestuje w centrum, które zajmie się opracowaniem zaawansowanych narzędzi do produkcji chipów

Huawei inwestuje w centrum, które zajmie się opracowaniem zaawansowanych narzędzi do produkcji chipów

Znaczącą barierą w rozwoju chińskiej branży półprzewodników są restrykcje, które uniemożliwiają pozyskanie zaawansowanego sprzętu do produkcji chipów. Jest to w sposób szczególny widoczne w przypadku narzędzi EUV, do których Państwo Środka nie ma na razie w ogóle dostępu. Zaradzić tej sytuacji mają między innymi inwestycje firmy Huawei. Podmiot buduje centrum badawczo-rozwojowe, które będzie pracowało nad chińskimi alternatywami dla EUV.

Podwodne serwery Microsoft to już przeszłość. Gigant zamyka eksperymentalny, choć przyszłościowy projekt

Podwodne serwery Microsoft to już przeszłość. Gigant zamyka eksperymentalny, choć przyszłościowy projekt

W 2016 roku Microsoft ogłosił eksperymentalny projekt o nazwie Natick, który zakładał umieszczenie pełnoprawnego centrum danych z 864 serwerami pod wodą, na głębokości 117 stóp. Wiosną 2018 roku, po kilku testach, firma zainstalowała i uruchomiła przedsięwzięcie u wybrzeży Szkocji. Teraz gigant technologiczny poinformował, że nie będzie kontynuował rozwoju tego projektu, jednakże zamierza wykorzystać zebrane informacje w przyszłości.

TSMC pracuje nad nowymi prostokątnymi podłożami do pakowania zaawansowanych układów dla sztucznej inteligencji

TSMC pracuje nad nowymi prostokątnymi podłożami do pakowania zaawansowanych układów dla sztucznej inteligencji

TSMC od kilkunastu lat jest największym producentem chipów na świecie, jednak to nie oznacza, że tajwańskie przedsiębiorstwo osiada na laurach. Przez cały czas prowadzi intensywny rozwój i rozbudowane badania, m.in. nad efektywnością pakowania wysokowydajnych akceleratorów sztucznej inteligencji. W tym celu firma opracowuje prostokątne substraty, które mają zaoferować powierzchnię trzy razy większą niż tradycyjne okrągłe wafle.

Intel przedstawia szczegóły na temat procesu litograficznego Intel 3. Możemy liczyć na 18% przyrost wydajności na wat

Intel przedstawia szczegóły na temat procesu litograficznego Intel 3. Możemy liczyć na 18% przyrost wydajności na wat

Intel na targach Computex 2024 zaprezentował procesory Intel Xeon 6, które powstają przy wykorzystaniu procesu litograficznego Intel 3 (odpowiednik 7 nm). Producent chipów z Santa Clara podzielił się szczegółami na temat zastosowanej technologii, w tym poprawą wydajności na wat oraz ulepszeniami w działaniu prawdopodobnie ostatniej iteracji tranzystorów FinFET. Firma przedstawiła również więcej informacji na temat podtypów omawianego procesu technologicznego.

Samsung opóźnia start fabryki w Teksasie. Rozważane są znaczące ulepszenia w procesie technologicznym

Samsung opóźnia start fabryki w Teksasie. Rozważane są znaczące ulepszenia w procesie technologicznym

Coraz więcej fabryk powiązanych z branżą półprzewodników powstaje poza regionem Dalekiego Wschodu. Jedną z firm, które prowadzą takie inwestycje jest Samsung. Nowy ośrodek produkcyjny powstaje między innymi w USA. Jego start planowany był na 2024 rok, ale zostanie on najprawdopodobniej opóźniony. Koreańska firma rozważa dokonanie znaczących ulepszeń w procesie technologicznym fabryki. Może to być jeden z najbardziej zaawansowanych ośrodków na świecie.

OpenAI wycofuje jeden z głosów, którym operuje ChatGPT. Powodem zbytnie podobieństwa do znanej aktorki

OpenAI wycofuje jeden z głosów, którym operuje ChatGPT. Powodem zbytnie podobieństwa do znanej aktorki

Rozwój sztucznej inteligencji niesie ze sobą nie tylko korzyści, ale także poważne zagrożenia. Jednym z nich jest potencjalna możliwość naruszenia praw autorskich. Z taką sytuacją możemy mieć do czynienia w przypadku głosu Sky, który wykorzystuje ChatGPT. Firma OpenAI zdecydowała się go wycofać na czas nieokreślony po tym, gdy wiele osób dopatrzyło się zbytnich podobieństw do głosu, którym operuje znana aktorka - Scarlett Johansson.

Arm chce wejść na rynek sztucznej inteligencji. Trwają prace nad prototypami nowych chipów

Arm chce wejść na rynek sztucznej inteligencji. Trwają prace nad prototypami nowych chipów

Przygotowanie własnych rozwiązań sprzętowych do obsługi sztucznej inteligencji jest kosztowne i czasochłonne. Takie przedsięwzięcia okażą się jednak prawdopodobnie docelowo opłacalne. Do firm technologicznych, które pracują nad swoimi chipami do obsługi AI, dołączył właśnie Arm. Podmiot przygotowuje procesory tego typu do wewnętrznego użytku spółki SoftBank, która jest głównym właścicielem wywodzącej się z Wielkiej Brytanii firmy.

NVIDIA była w 2023 roku największą firmą zajmującą się projektowaniem układów scalonych

NVIDIA była w 2023 roku największą firmą zajmującą się projektowaniem układów scalonych

NVIDIA niewątpliwie zyskała bardzo dużo na popularności rozwiązań napędzających sztuczną inteligencję. Segment centrów danych jest teraz głównym źródłem przychodów amerykańskiej firmy. Ciekawostką jest fakt, że spółka pomimo swojego rozmiaru nie posiada ciągle własnych fabryk, które zajmowałyby się produkcją chipów. Czyni ją to obecnie największym podmiotem tego typu. Dotychczasowy lider - Qualcomm - musi zadowolić się drugim miejscem.

Cały potencjał TSMC w zakresie pakowania CoWoS i SoIC został zarezerwowany do końca 2025 roku

Cały potencjał TSMC w zakresie pakowania CoWoS i SoIC został zarezerwowany do końca 2025 roku

Pakowanie CoWoS jest nieodłącznym elementem produkcji chipów, które wykorzystywane są do obsługi i treningu sztucznej inteligencji. Jest to też obecnie jedno z głównych ograniczeń stojących na drodze do znaczącego zwiększenia podaży akceleratorów AI. Choć pakowanie CoWoS nie jest wyłączną domeną TSMC, to najwięksi producenci układów graficznych sięgają zwykle po rozwiązanie tajwańskiej firmy. Rezerwacje obejmują aktualnie niemal dwa lata w przyszłość.

TSMC zaprezentowało proces technologiczny A16. Produkcja masowa bazujących na nim chipów przewidziana jest na 2026 rok

TSMC zaprezentowało proces technologiczny A16. Produkcja masowa bazujących na nim chipów przewidziana jest na 2026 rok

Branża półprzewodników to jeden z najszybciej rozwijających się segmentów rynku nowych technologii. Duże firmy prowadzą nieustanne prace nad dostarczeniem bardziej zaawansowanych procesów technologicznych. Liderem pozostaje jednak od dłuższego czasu TSMC. Tajwańska firma zaprezentowała właśnie nowy proces A16, na którym bazowały będą niektóre chipy produkowane w 2026 roku. Jego dużą zaletą będzie efektywność energetyczna.

TSMC wznawia produkcję chipów na Tajwanie zaledwie kilkanaście godzin po potężnym trzęsieniu ziemi

TSMC wznawia produkcję chipów na Tajwanie zaledwie kilkanaście godzin po potężnym trzęsieniu ziemi

Kilkadziesiąt godzin temu Tajwan nawiedziło jedno z największych trzęsień ziemi we współczesnej historii wyspy. Tamtejsze budownictwo jest jednak dobrze przygotowane na takie okoliczności, choć zniszczeń nie udało się oczywiście zupełnie uniknąć. Jak pewnie wiecie, Tajwan od lat pozostaje największym wytwórcą chipów na świecie, dlatego od razu powstały obawy o długie przerwy w produkcji chipów. Ostatnie wydarzenia nie doprowadzą na szczęście do znaczących opóźnień.

PCI-SIG opublikowało dla swoich członków nowy szkic standardu PCIe 7.0. Rozwiązanie ma zadebiutować już w 2025 roku

PCI-SIG opublikowało dla swoich członków nowy szkic standardu PCIe 7.0. Rozwiązanie ma zadebiutować już w 2025 roku

Choć standard PCIe 6.0 zadebiutował formalnie w 2022 roku, to większość komputerów konsumenckich nie wykorzystuje jeszcze nawet PCIe 5.0. Mimo to nieustannie trwają prace nad nowszymi wersjami interfejsu. Już w 2025 roku na rynek trafi standard PCIe 7.0, ale zanim będzie wykorzystywany w jakikolwiek sposób w zwykłych PC-tach miną długie lata. Póki co, konsorcjum PCI-SIG opublikowało dla swoich członków szkic jego specyfikacji sprzętowej w wersji 0.5.

Sztuczna inteligencja wejdzie na wyższy poziom. Microsoft i OpenAI stworzą superkomputer, którego koszt przekroczy 100 mld USD

Sztuczna inteligencja wejdzie na wyższy poziom. Microsoft i OpenAI stworzą superkomputer, którego koszt przekroczy 100 mld USD

„Sztuczna inteligencja”, jaką znamy teraz, nie jest oczywiście w pełni rozwiniętą technologią. Jednak obecnie duże firmy inwestują ogromne kwoty, aby to zmienić. Właśnie poznaliśmy nieco więcej szczegółów na temat projektu, za którym stoi OpenAI oraz Microsoft. Ich celem jest zbudowanie superkomputera o nazwie Stargate, który będzie zasilał „sztuczną inteligencję” następnej generacji. Ambitne plany mogą kosztować nawet przeszło 100 mld dolarów.

TSMC odnotowuje duże zainteresowanie procesem 3 nm. Jego znaczenie w kolejnych latach będzie rosło

TSMC odnotowuje duże zainteresowanie procesem 3 nm. Jego znaczenie w kolejnych latach będzie rosło

W 2022 roku duże firmy z branży półprzewodników rozpoczęły produkcję w procesie technologicznym 3 nm. Wśród nich jest także tajwańskie przedsiębiorstwo TSMC. Choć trwają już obecnie intensywne przygotowania do produkcji chipów 2 nm, to prognozuje się, że proces aktualnej generacji będzie nieustannie zyskiwał na popularności, generując coraz większe przychody. Duża w tym zasługa największych klientów TSMC, czyli takich firm, jak Apple, Intel czy AMD.

Samsung Foundry goni TSMC, uzysk procesu 3 nm znacznie wzrósł, choć i tak pozostaje w tyle za tajwańską konkurencją

Samsung Foundry goni TSMC, uzysk procesu 3 nm znacznie wzrósł, choć i tak pozostaje w tyle za tajwańską konkurencją

TSMC jak dotychczas pozostaje niekwestionowanym liderem wśród producentów mikroukładów elektronicznych. Ich proces technologiczny 3 nm jest wykorzystywany między innymi do produkcji układów Apple A17 Pro. Samsung, jako jeden z największych konkurentów tajwańskiej firmy, również dysponuje podobną technologią, ale wykorzystuje nowocześniejszy projekt tranzystorów GAA. Mimo to Koreańczycy nie zdobyli dominacji na rynku, ponieważ uzysk z ich procesu był dość marny. Jednak teraz sytuacja się zmienia.

Neuralink - nowatorski interfejs mózg-komputer pozwolił zagrać w Civilization VI bez myszy i klawiatury

Neuralink - nowatorski interfejs mózg-komputer pozwolił zagrać w Civilization VI bez myszy i klawiatury

Przedsiębiorstwo Neuralink, kierowane przez Elona Muska, pokazało w styczniu 2024 roku swojego pierwszego pacjenta z wszczepionym implantem do mózgu. Teraz w filmie, zamieszczonym na platformie X (Twitter), Nolan Arbaugh pokonał swoje fizyczne ograniczenia, kontrolując MacBooka wyłącznie za pomocą swoich myśli. Umożliwiło to 29-letniemu mężczyźnie sparaliżowanemu od szyi w dół zagrać w szachy i Civilization VI w trybie online.

Unreal Engine 5.4 ma nie tylko dodać nowe funkcje do silnika, ale również zaoferować wyższą wydajność na PC i konsolach

Unreal Engine 5.4 ma nie tylko dodać nowe funkcje do silnika, ale również zaoferować wyższą wydajność na PC i konsolach

Druga połowa marca stoi pod znakiem dwóch cykli konferencji - GTC 2024 organizowane przez NVIDIĘ oraz GDC 2024 dla developerów gier, gdzie często możemy dowiedzieć się różnych ciekawostek o produkcji konkretnych tytułów lub o nowościach, które dopiero się pojawią. Na GDC pojawiła się firma Epic Games, która ujawniła kilka nowych szczegółów na temat silnika graficznego Unreal Engine 5.4. Najnowsza wersja została dopakowana w kilka rozwiązań (nowych lub ulepszonych względem wcześniejszych wersji),...

OpenAI chce rozpocząć prace nad własnym procesorem do obsługi sztucznej inteligencji. Trwają poszukiwania inwestorów

OpenAI chce rozpocząć prace nad własnym procesorem do obsługi sztucznej inteligencji. Trwają poszukiwania inwestorów

Stosunkowo wysoki koszt zakupu układów graficznych do obsługi sztucznej inteligencji, a także konieczność oczekiwania na realizacje zamówień, skłania coraz większą liczbę dużych firm technologicznych do rozpoczęcia prac nad własnymi alternatywami. Wiemy, że takie plany ma między innymi Microsoft czy Meta. Do grona tych firm może już wkrótce dołączyć także OpenAI, o ile firmie uda się oczywiście pozyskać odpowiednich inwestorów.

Samsung zmienia nazwę dla swojego procesu technologicznego 3 nm. Sugeruje tym samym, że jest to proces 2 nm

Samsung zmienia nazwę dla swojego procesu technologicznego 3 nm. Sugeruje tym samym, że jest to proces 2 nm

Pomiędzy firmami technologicznymi toczy się wyścig, który ma na celu dostarczenie przed konkurencją jak najbardziej wyrafinowanych chipów. Niektórzy za sprawą zmiany nazwy procesów technologicznych decydują się nieco naginać rzeczywistość, sugerując tym samym, że dany układ jest bardziej zaawansowany i konkurencyjny. Swojego czasu głośno było zmianie nazewnictwa przez Intel. Najnowsze doniesienia sugerują, że na podobny pomysł wpadł teraz Samsung.

NVIDIA może stracić pozycję lidera przez firmę Groq. Układy LPU to kolejna rewolucja w świecie sztucznej inteligencji

NVIDIA może stracić pozycję lidera przez firmę Groq. Układy LPU to kolejna rewolucja w świecie sztucznej inteligencji

Na ten moment monopolistą w dziedzinie sztucznej inteligencji bez wątpienia jest NVIDIA. Rozwój AI spowodował wzrost zapotrzebowania na układy, które mogłyby wydajnie obsługiwać np. duże modele językowe (LLM). Dostarczył je nie kto inny, jak wspomniana firma, która przy okazji znacząco podniosła ceny za swoje specjalne akceleratory graficzne (NVIDIA A100). Nadchodzi jednak rozwiązanie, które może odmienić ten obraz o 180 stopni. Mowa o układzie LPU od firmy Groq.

NVIDIA po raz pierwszy pokazuje swój superkomputer Eos. Sprzęt zajmuje wysokie miejsce w rankingu najszybszych maszyn

NVIDIA po raz pierwszy pokazuje swój superkomputer Eos. Sprzęt zajmuje wysokie miejsce w rankingu najszybszych maszyn

NVIDIA już od pewnego czasu ma bardzo silną pozycję na rynku centrów danych. Nie wszyscy jednak wiedzą, że spółka jest też właścicielem bardzo szybkiego superkomputera Eos, który stoi za najnowszymi dokonaniami firmy w obszarze sztucznej inteligencji. NVIDIA zaprezentowała materiał wideo, na którym po raz pierwszy możemy zobaczyć, jak Eos wygląda z bliska. Sprzęt znajduje się w czołówce światowej, jeśli chodzi o moc obliczeniową.

SMIC przygotowuje się do produkcji chipów w procesie technologicznym 5 nm. Głównym klientem ma być Huawei

SMIC przygotowuje się do produkcji chipów w procesie technologicznym 5 nm. Głównym klientem ma być Huawei

Choć chiński potencjał w zakresie produkcji chipów znacząco ograniczają amerykańskie sankcje, to tamtejsze firmy starają się nadrobić opóźnienie względem technologicznych liderów. Osiągnięcie poziomu zaawansowania produkcji, którym charakteryzuje się choćby tajwański TSMC, nie będzie możliwe w bliskiej przyszłości. Najnowsze doniesienia wskazują jednak, że SMIC może jeszcze w tym roku rozpocząć produkcję chipów w procesie klasy 5 nm.

Meta jeszcze w tym roku ma wypuścić własny chip Artemis. Przejmie on część zadań związanych z obsługą sztucznej inteligencji

Meta jeszcze w tym roku ma wypuścić własny chip Artemis. Przejmie on część zadań związanych z obsługą sztucznej inteligencji

Choć NVIDIA odgrywa na razie wiodącą rolę w kwestii produkcji rozwiązań sprzętowych przeznaczonych do obsługi AI, to sytuacja rynkowa prawdopodobnie nieco się w przyszłości zmieni. Wiele dużych podmiotów jest w trakcie prac nad chipami, które będą mogły być wykorzystywane w tego typu zadaniach. Jednym z nich jest firma Meta. Jak podają źródła, jeszcze w tym roku światło dzienne może ujrzeć chip Artemis, który zastąpi częściowo układy NVIDII.

NVIDIA będzie współpracowała z Intelem przy pakowaniu chipów wykorzystywanych do obsługi sztucznej inteligencji

NVIDIA będzie współpracowała z Intelem przy pakowaniu chipów wykorzystywanych do obsługi sztucznej inteligencji

Nic nie wskazuje na to, żeby boom na sprzęt służący do obsługi sztucznej inteligencji miał się w najbliższym czasie zakończyć. Wiemy, że chętni na zakup akceleratorów NVIDII muszą ustawiać się w kolejki i czekać kilka lub nawet kilkanaście miesięcy na realizację zamówień. Nic zatem dziwnego w tym, że amerykańska firma stara się zwiększyć podaż swoich urządzeń. Źródła donoszą, że nawiązana została w tym celu współpraca z Intel Foundry Services.

Sharp i NEC na targach ISE 2024 zaprezentują nowe wyświetlacze ePaper, które cechuje m.in. zerowy pobór mocy

Sharp i NEC na targach ISE 2024 zaprezentują nowe wyświetlacze ePaper, które cechuje m.in. zerowy pobór mocy

Targi ISE (Integrated Systems Europe) to jedno z największych wydarzeń sektora technologii audiowizualnych i zintegrowanych systemów automatyki. W tym roku Sharp i NEC nie tylko zapowiedzieli swoją obecność, ale zdradzili, że przedstawią całkowicie nowe i pod pewnymi względami rewolucyjne wyświetlacze ePaper, które mają zaoferować tryb zerowego poboru mocy i mają trafić do infokiosków, ePlakatów czy elektronicznych banerów reklamowych. Co już o nich wiemy?

Powstaje nowy europejski superkomputer, który wykorzysta układy AMD EPYC oraz Instinct MI250X

Powstaje nowy europejski superkomputer, który wykorzysta układy AMD EPYC oraz Instinct MI250X

Wraz z rozwojem techniki coraz częściej możemy usłyszeć o powstawaniu i rozbudowie serwerów oraz centrów danych. Oprócz niedawno zapowiedzianego superkomputera Jupiter w Niemczech, w europie pojawi się również kolejny, tym razem we Włoszech. Przedsiębiorstwo Eni postanowiło zbudować super centrum, które ma przeskoczyć ich dotychczasowe superkomputery o rząd wielkości w mocy obliczeniowej i ma bazować na podzespołach od AMD.

Gry z retro konsoli Nintendo Game Boy Advance mogą być odtworzone na podstawie... dźwięków z awarii urządzenia

Gry z retro konsoli Nintendo Game Boy Advance mogą być odtworzone na podstawie... dźwięków z awarii urządzenia

W świecie retro sprzętów doszło niedawno do bardzo ciekawego odkrycia. Dotyczy ono konsoli Nintendo Game Boy Advance, która wkroczyła na rynek na początku 2001 roku. Okazuje się, że w celu skopiowania zawartości kartridża z grą można posłużyć się dźwiękami, które konsola odtwarza zaraz po swojej awarii (ang. crash). Cały proces jest dość skomplikowany i wymaga specjalistycznej wiedzy, natomiast z pewnością warto się z nim bliżej zapoznać.

TSMC przygotowuje się do budowy fabryki, która będzie produkowała chipy w procesie technologicznym 1 nm

TSMC przygotowuje się do budowy fabryki, która będzie produkowała chipy w procesie technologicznym 1 nm

W obecnej chwili bazą dla postępu w branży półprzewodników jest zmniejszanie procesu technologicznego. Już wkrótce najbardziej zaawansowane chipy będą wykonane w procesie 2 nm. Ich masowa produkcja powinna rozpocząć się w 2025 roku. TSMC ma jednak zaawansowane plany, które przewidują, że w stosunkowo nieodległej przyszłości powstanie ośrodek produkujący 1-nm chipy. Byłoby to osiągnięcie istotnej granicy w tej branży.

Opracowano pamięć RAM bazującą na ciekłym metalu. Może to otworzyć drogę do nowych odkryć technologicznych

Opracowano pamięć RAM bazującą na ciekłym metalu. Może to otworzyć drogę do nowych odkryć technologicznych

Większość przełomów technologicznych rozpoczyna się od nowatorskich projektów mających bardzo ograniczoną skalę. Początki zawsze bywają trudne, ale na takich zasadach opiera się niestety postęp. Jednym z przykładów wynalazków, które być może zaprocentują w odległej przyszłości, jest pamięć RAM bazująca na ciekłym metalu. Chińscy badacze opracowali prototyp tego typu rozwiązania. Może to być docelowo baza dla nowych technologii.

Intel chwali się, że fabryka w Niemczech będzie najbardziej zaawansowanym ośrodkiem produkcyjnym firmy na świecie

Intel chwali się, że fabryka w Niemczech będzie najbardziej zaawansowanym ośrodkiem produkcyjnym firmy na świecie

Fabryka Intela w Magdeburgu jest jednym z kroków Intela na drodze do dywersyfikacji produkcji chipów. Głównym celem jest zmniejszenie zależności firmy i rynku nowych technologii od regionu Dalekiego Wschodu. Jak przekonuje Pat Gelsinger, CEO amerykańskiej firmy, ośrodek w Niemczech będzie najbardziej zaawansowaną placówką produkcyjną Intela, jaka kiedykolwiek powstała. Wynika z tego rownież, że spółka ma dalekosiężne plany odnośnie tej fabryki.

Samsung Display - nadciąga nowa generacja wyświetlaczy. Na rynek wkroczą zwijane panele OLED, czy też składane w obie strony

Samsung Display - nadciąga nowa generacja wyświetlaczy. Na rynek wkroczą zwijane panele OLED, czy też składane w obie strony

Składane smartfony są aktualnie na tyle powszechne, że już nikogo nie dziwi ich konstrukcja. Za taki stan rzeczy w głównej mierze odpowiada Samsung, który w dużym stopniu wpłynął na branżę mobilną w tym aspekcie. Na horyzoncie pojawiają się kolejne rozwiązania, które zostały zaprezentowane na trwających targach CES 2024. Samsung Display przedstawił nową generację różnych wyświetlaczy, które pod wieloma względami są innowacyjne i mogą okazać się całkiem przydatne.

Elvis Presley powróci na scenę jeszcze w 2024 roku. Wszystko dzięki technologii związanej z AI i cyfrową projekcją

Elvis Presley powróci na scenę jeszcze w 2024 roku. Wszystko dzięki technologii związanej z AI i cyfrową projekcją

Jeszcze w 2022 roku swoją trasę koncertową rozpoczął szwedzki zespół muzyczny grający muzykę z gatunku pop, czyli ABBA. Natomiast jego członkowie zostali zamienieni na swoje cyfrowe awatary, a same koncerty odbywały się w Londynie. Pod koniec 2023 roku na podobny krok zdecydował się zespół KISS, który wprowadził jednak nieco więcej efektów specjalnych. Tym razem na scenie ponownie pojawi się Elvis Presley, a samo wydarzenie ma być niezwykle realistyczne.

x Wydawca serwisu PurePC.pl informuje, że na swoich stronach www stosuje pliki cookies (tzw. ciasteczka). Kliknij zgadzam się, aby ta informacja nie pojawiała się więcej. Kliknij polityka cookies, aby dowiedzieć się więcej, w tym jak zarządzać plikami cookies za pośrednictwem swojej przeglądarki.